eth_phy_10g_tx_if

Diagram

DATA_WIDTH CTRL_WIDTH HDR_WIDTH BIT_REVERSE SCRAMBLER_DISABLE PRBS31_ENABLE SERDES_PIPELINE wire clk wire rst wire [DATA_WIDTH-1:0] encoded_tx_data wire [HDR_WIDTH-1:0] encoded_tx_hdr wire cfg_tx_prbs31_enable wire [DATA_WIDTH-1:0] serdes_tx_data wire [HDR_WIDTH-1:0] serdes_tx_hdr

Generics

Generic name Type Value Description
DATA_WIDTH 64 Ancho de datos
CTRL_WIDTH (DATA_WIDTH/8) Ancho de control
HDR_WIDTH 2 Ancho de header
BIT_REVERSE 0 Flag que habilita la inversión de bits
SCRAMBLER_DISABLE 0 Flag que habilita el scrambler
PRBS31_ENABLE 0 Flag que habilita la generacion de patrones pseudoaleatorios PRBS31
SERDES_PIPELINE 0 Flag que habilita el uso de pipeline en el SERDES

Ports

Port name Direction Type Description
clk input wire Señal de clock
rst input wire Señal de reset
encoded_tx_data input wire [DATA_WIDTH-1:0] Datos codificados para la transmisión
encoded_tx_hdr input wire [HDR_WIDTH-1:0] Encabezados de los datos codificados
serdes_tx_data output wire [DATA_WIDTH-1:0] Datos de salida para el SERDES
serdes_tx_hdr output wire [HDR_WIDTH-1:0] Encabezado de salida para el SERDES
cfg_tx_prbs31_enable input wire Señal de habilitación para la generación de secuencias PRBS31

Signals

Name Type Description
scrambler_state_reg = {58{1'b1}} reg [57:0] Registro para el estado del scrambler
scrambler_state wire [57:0] Estado del scrambler.
scrambled_data wire [DATA_WIDTH-1:0] Datos obtenidos luego de aplicar el scrambling.
prbs31_state_reg = 31'h7fffffff reg [30:0] Registro para el estado del generador PRBS31. Lo inicializa en 31 unos
prbs31_state wire [30:0] Estado del generador PRBS31.
prbs31_data wire [DATA_WIDTH+HDR_WIDTH-1:0] Datos generados por el generador de PRBS31.
serdes_tx_data_reg = {DATA_WIDTH{1'b0}} reg [DATA_WIDTH-1:0] Registro que almacena los datos que se enviarán al transmisor SERDES
serdes_tx_hdr_reg = {HDR_WIDTH{1'b0}} reg [HDR_WIDTH-1:0] Registro que almacena el encabezado que se enviará al transmisor SERDES
serdes_tx_data_int wire [DATA_WIDTH-1:0] Datos del transmisor SERDES
serdes_tx_hdr_int wire [HDR_WIDTH-1:0] Encabezado del transmisor SERDES

Processes

Instantiations