lfsr

Diagram

LFSR_WIDTH LFSR_POLY LFSR_CONFIG LFSR_FEED_FORWARD REVERSE DATA_WIDTH STYLE wire [DATA_WIDTH-1:0] data_in wire [LFSR_WIDTH-1:0] state_in wire [DATA_WIDTH-1:0] data_out wire [LFSR_WIDTH-1:0] state_out

Generics

Generic name Type Value Description
LFSR_WIDTH 31 Ancho del LFSR
LFSR_POLY 31'h10000001 Polinomio del LFSR
LFSR_CONFIG "FIBONACCI" Configuracion del LFSR: "GALOIS", "FIBONACCI"
LFSR_FEED_FORWARD 0 LFSR feed forward enable:
REVERSE 0 Reversion de bits
DATA_WIDTH 8 Tamaño de los datos de entrada
STYLE "AUTO" Estilo de implementacion: "AUTO", "LOOP", "REDUCTION"

Ports

Port name Direction Type Description
data_in input wire [DATA_WIDTH-1:0] Datos de entrada que se desplazarán a través del LFSR
state_in input wire [LFSR_WIDTH-1:0] Estado actual del LFSR
data_out output wire [DATA_WIDTH-1:0] Datos de salida que representan los bits desplazados fuera del LFSR
state_out output wire [LFSR_WIDTH-1:0] Próximo estado del LFSR

Constants

Name Type Value Description
STYLE_INT (STYLE == "AUTO") ? "REDUCTION" : STYLE "AUTO" style is "REDUCTION" for faster simulation
STYLE_INT (STYLE == "AUTO") ? "LOOP" : STYLE "AUTO" style is "LOOP" for better synthesis result

Functions